Artwork

Elvis Dahl द्वारा प्रदान की गई सामग्री. एपिसोड, ग्राफिक्स और पॉडकास्ट विवरण सहित सभी पॉडकास्ट सामग्री Elvis Dahl या उनके पॉडकास्ट प्लेटफ़ॉर्म पार्टनर द्वारा सीधे अपलोड और प्रदान की जाती है। यदि आपको लगता है कि कोई आपकी अनुमति के बिना आपके कॉपीराइट किए गए कार्य का उपयोग कर रहा है, तो आप यहां बताई गई प्रक्रिया का पालन कर सकते हैं https://hi.player.fm/legal
Player FM - पॉडकास्ट ऐप
Player FM ऐप के साथ ऑफ़लाइन जाएं!

335: Wealth from Remake Warranties with Jack Manno, Kim Solomon, and Max Zanan

1:09:49
 
साझा करें
 

Manage episode 436281919 series 2441243
Elvis Dahl द्वारा प्रदान की गई सामग्री. एपिसोड, ग्राफिक्स और पॉडकास्ट विवरण सहित सभी पॉडकास्ट सामग्री Elvis Dahl या उनके पॉडकास्ट प्लेटफ़ॉर्म पार्टनर द्वारा सीधे अपलोड और प्रदान की जाती है। यदि आपको लगता है कि कोई आपकी अनुमति के बिना आपके कॉपीराइट किए गए कार्य का उपयोग कर रहा है, तो आप यहां बताई गई प्रक्रिया का पालन कर सकते हैं https://hi.player.fm/legal

Join the GOLDEN BENCH CLUB! All you have to do is leave us a 5-star review and comment on the Apple Podcast app (or any other app and email us a screen shot) and we will read your review on the podcast and welcome you to the Golden Bench Club. This super elite club is only for the best of the best.

We all know the minute we remake something in the lab, the profit is gone. We just accept it and do our best to not have any remakes. But ALSO, a lot of it is not our fault and to keep a dentist happy, we eat it and do the remake anyway. What if there was a way to warranty everything the lab does for 5 years? I mean EVERYTHING..... even removables. Not only does that make your lab more attractive to dental offices, just think how easier it would be if every remake was a simple "okay" because you knew you would be paid for the remakes. Well, enter Quantum Health Holdings. Less than a year ago, Jack Manno and Kim Solomon met insurance and warranty expert Max Zanan. Max has been working in the auto insurance area for a while and now he provides the service to dentist warranting their work. Jack and Kim thought it would be perfect for dental labs. Learn how you can provide a service to dental offices that most labs can't (or don't) offer, all while building good wealth for either retirement or to reinvest back into your lab.

Check it all out at: dentalprotectiongroup.com/dentallabs.html

Introducing Ivotion Digital Dentures from Ivoclar – Experience unparalleled precision and efficiency with Ivoclar‘s state-of-the-art digital denture workflow.

Ivotion is available in their patent pending monolithic disc that combines denture base and tooth materials in one seamless puck. Or if you lab needs more flexibility, Ivotion is also available as stand-alone discs - Ivotion Base, Dent and Dent Multi all in 98mm width to fit your favorite milling machine.

With Ivotion you can streamline your lab’s processes, reduce production time, and enhance patient satisfaction. Elevate your lab's capabilities with Ivotion Digital Dentures – where innovation meets perfection. Discover the future of dentures today with Ivoclar." Thanks for your continued support of the podcast Ivoclar.

Special Guests: Jack Manno , Kim Solomon, and Max Zanan.

  continue reading

337 एपिसोडस

Artwork
iconसाझा करें
 
Manage episode 436281919 series 2441243
Elvis Dahl द्वारा प्रदान की गई सामग्री. एपिसोड, ग्राफिक्स और पॉडकास्ट विवरण सहित सभी पॉडकास्ट सामग्री Elvis Dahl या उनके पॉडकास्ट प्लेटफ़ॉर्म पार्टनर द्वारा सीधे अपलोड और प्रदान की जाती है। यदि आपको लगता है कि कोई आपकी अनुमति के बिना आपके कॉपीराइट किए गए कार्य का उपयोग कर रहा है, तो आप यहां बताई गई प्रक्रिया का पालन कर सकते हैं https://hi.player.fm/legal

Join the GOLDEN BENCH CLUB! All you have to do is leave us a 5-star review and comment on the Apple Podcast app (or any other app and email us a screen shot) and we will read your review on the podcast and welcome you to the Golden Bench Club. This super elite club is only for the best of the best.

We all know the minute we remake something in the lab, the profit is gone. We just accept it and do our best to not have any remakes. But ALSO, a lot of it is not our fault and to keep a dentist happy, we eat it and do the remake anyway. What if there was a way to warranty everything the lab does for 5 years? I mean EVERYTHING..... even removables. Not only does that make your lab more attractive to dental offices, just think how easier it would be if every remake was a simple "okay" because you knew you would be paid for the remakes. Well, enter Quantum Health Holdings. Less than a year ago, Jack Manno and Kim Solomon met insurance and warranty expert Max Zanan. Max has been working in the auto insurance area for a while and now he provides the service to dentist warranting their work. Jack and Kim thought it would be perfect for dental labs. Learn how you can provide a service to dental offices that most labs can't (or don't) offer, all while building good wealth for either retirement or to reinvest back into your lab.

Check it all out at: dentalprotectiongroup.com/dentallabs.html

Introducing Ivotion Digital Dentures from Ivoclar – Experience unparalleled precision and efficiency with Ivoclar‘s state-of-the-art digital denture workflow.

Ivotion is available in their patent pending monolithic disc that combines denture base and tooth materials in one seamless puck. Or if you lab needs more flexibility, Ivotion is also available as stand-alone discs - Ivotion Base, Dent and Dent Multi all in 98mm width to fit your favorite milling machine.

With Ivotion you can streamline your lab’s processes, reduce production time, and enhance patient satisfaction. Elevate your lab's capabilities with Ivotion Digital Dentures – where innovation meets perfection. Discover the future of dentures today with Ivoclar." Thanks for your continued support of the podcast Ivoclar.

Special Guests: Jack Manno , Kim Solomon, and Max Zanan.

  continue reading

337 एपिसोडस

सभी एपिसोड

×
 
Loading …

प्लेयर एफएम में आपका स्वागत है!

प्लेयर एफएम वेब को स्कैन कर रहा है उच्च गुणवत्ता वाले पॉडकास्ट आप के आनंद लेंने के लिए अभी। यह सबसे अच्छा पॉडकास्ट एप्प है और यह Android, iPhone और वेब पर काम करता है। उपकरणों में सदस्यता को सिंक करने के लिए साइनअप करें।

 

त्वरित संदर्भ मार्गदर्शिका